




版權說明:本文檔由用戶提供并上傳,收益歸屬內容提供方,若內容存在侵權,請進行舉報或認領
文檔簡介
1、EDA技術課程設計脈沖寬度測量儀課程名:脈 沖 寬 度 測 量姓 名:陳 芬 學 部:電氣與信息工程學院學 號:0 9 4 3 0 4 21154733專業班級:電 信 0 9 0 1指導教師: 黃 科目錄第一章總體設計思想41.基本原理42.設計框圖4第二章設計步驟和調試過程51、總體設計電路5(1)脈沖檢測模塊5(2)計數模塊5(3)譯碼顯示模塊5第三章模塊設計和相應模塊程序51、檢測程序模塊52、計數程序模塊6第四章設計電路圖8第五章實驗調試結果9第六章結論及心得體會9參考資料9課程設計任務書課程 EDA技術課程設計題目 脈沖寬度測量儀專業 電子信息工程 姓名陳 芬 學號09430412
2、154733主要任務:采用EDA技術,設計一個能測量脈沖信號寬度的系統。系統圖如下:基本要求:(1)脈沖信號寬度的測量精度為1ms。(2)脈沖信號寬度的測量范圍為010s。(3)調試過程中可以用按鍵模擬脈沖信號。(4)測量值用5位數碼管顯示(可以采用靜態顯示)。(5)輸入信號為標準TTL電平。(6)調試中既可以采用正脈沖,也可以采用負脈沖(任選其一)。(7)必須先進行前仿真,并打印出仿真波形。(8)按要求寫好設計報告(設計報告內容包括:引言,方案設計與論證,總體設計,各模塊設計,調試與數據分析,總結)。脈沖寬度測量儀第一章 總體設計思想1.基本原理 根據設計要求,系統的輸入信號有:系統時鐘信號
3、CLK,系統復位信號CLR,脈沖輸入信號P_IN。當計數輸出端檢測到P_IN端有脈沖輸入時檢測模塊就會輸出,否則為,輸給計數模塊的端,當各位累計到時,會向十位進位,一次類推到萬位.最后由數碼管顯示脈沖的寬度(數碼管的讀數).2.設計框圖系統組成方框圖如下所示,它由外部輸入模塊、檢測模塊和顯示模塊三部分組成。檢測模塊是整個系統的核心,它由計數模塊、控制模塊、計量模塊和譯碼顯示模塊構成。時鐘信號計數信號輸入脈沖清零復位計數器檢測器檢測計寬計數顯示圖1.1系統框圖第二章 設計步驟和調試過程1、總體設計電路(1)脈沖檢測模塊當有檢測到P_IN端有脈沖輸入(P_IN=1)時,EN_OUT=1輸送到計數器
4、的EN端。(2)計數模塊計數模塊是對檢測到的脈沖進行計數 ,計算出脈沖的寬度。(3)譯碼顯示模塊對輸入的脈沖寬度進行顯示出來。第三章 模塊設計和相應模塊程序1、檢測程序模塊-bas of measure;library ieee;use ieee.std_logic_1164.all;entity measureisport(P_IN : in std_logic;EN_OUT: out std_logic);end measure;architecture behave of measureisbeginprocess (P_IN)beginif(P_IN=1) then EN_OUT=1;
5、 -實現檢測,若有脈沖就輸出為1else EN_OUT=0; -,否則為0end if;end process;end behave;2、計數程序模塊-bas of count;library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity count isport(EN:in std_logic; CLK:in std_logic; CLR:in std_logic;CQ:out std_logic;Qout:BUFFER std_logic_vector(3 downto 0);end coun
6、t;architecture art of count isbeginU1:process(CLK,CLR,EN,QOUT)Beginif CLR=1 then Qout=0000;elsif CLKEVENTand CLK=1 thenif EN=1thenif Qout=1001 then Qout=0000; else Qout=Qout+1;end if;end if ;end if ;if Qout=1001 then CQ=1;else CQ=0;end if;end PROCESS U1;end art;第四章 設計電路圖第五章 實驗調試結果為驗證所設計程序是否正確,將程序下載進
7、行硬件測試。在Quartus開發環境中進行管腳鎖定,連接好數碼管驅動電路,然后將目標文件下載到器件中。經過多次數據測試,顯示正常,基本實現本實驗的要求。第六章 結論及心得體會這次的課程設計對于我來說是畢業前的一次演練,從選題到畫出流程圖,再到寫出程序,不停的編不停的改不停的查資料直至最后完成,有問題大家都坐在一起討論,一起努力,一起攻克問題。我想我們享受的就是這個過程,而不僅僅只是結果。通過這次的設計,我們學到了很多,也了解到EDA技術教程對我們這個專業的重要性。它有很大的優勢比起其他的課程,它可以在Quartus這個軟件環境進行仿真,檢查我們的錯誤,而且不用畫流程圖。參考資料1 潘松著.EDA技術實用教程(第二
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯系上傳者。文件的所有權益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網頁內容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
- 4. 未經權益所有人同意不得將文件中的內容挪作商業或盈利用途。
- 5. 人人文庫網僅提供信息存儲空間,僅對用戶上傳內容的表現方式做保護處理,對用戶上傳分享的文檔內容本身不做任何修改或編輯,并不能對任何下載內容負責。
- 6. 下載文件中如有侵權或不適當內容,請與我們聯系,我們立即糾正。
- 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。
最新文檔
- 保潔公司競標方案范本
- 砌塊建筑電氣系統設計與施工考核試卷
- 畜牧業市場分析考核試卷
- 紙板容器表面處理方法考核試卷
- 2025年【煤礦防突】模擬考試題及答案
- 2023年中國鐵建財務有限公司公開招聘筆試參考題庫附帶答案詳解
- 環保工程環境信息技術應用考核試卷
- 2024年秘書證考試知識框架與試題及答案
- 2025年證券從業資格證考試問答試題及答案
- 氨綸纖維在航空航天材料中的應用考核試卷
- (高清版)DZT 0002-2017 含煤巖系鉆孔巖心描述
- 天然石材更換方案
- 腹腔鏡下子宮肌瘤剔除術護理查房
- 嚴防管制刀具 對自己和他人負責-校園安全教育主題班會課件
- 09J202-1 坡屋面建筑構造(一)-1
- 扁平足的癥狀與矯正方法
- 青春健康知識100題
- 員工考勤培訓課件
- 危機處理與應急管理
- 豐田C-HR汽車說明書
- 國開電大操作系統-Linux系統使用-實驗報告
評論
0/150
提交評論