數字PID控制器的設計——畢業設計論文_第1頁
數字PID控制器的設計——畢業設計論文_第2頁
數字PID控制器的設計——畢業設計論文_第3頁
數字PID控制器的設計——畢業設計論文_第4頁
數字PID控制器的設計——畢業設計論文_第5頁
已閱讀5頁,還剩23頁未讀 繼續免費閱讀

下載本文檔

版權說明:本文檔由用戶提供并上傳,收益歸屬內容提供方,若內容存在侵權,請進行舉報或認領

文檔簡介

1、-. z. - - . 可修編. 畢業設計 2013 屆題目數字PID控制器的設計學院物理電氣信息學院專業電子信息工程年級 2009級學生*學生*指導教師2013年 5月 3 日-. z.摘要直流電機具有良好的啟動和調速性能,被廣泛地應用于對啟動和調速有較高要求的拖動系統。本設計介紹了基于FPGA用PWM實現直流電機調整的根本方法,直流電機調速的相關知識,及PWM調整的根本原理和實現方法。重點介紹了基于FPGA用硬件產生PWM信號的途徑,輸出的PWM波形具有頻率高、占空比調節步進細的優點。然后結合PID算法對整個系統進展閉環控制設計,比照運用MATLAB軟件對閉環控制系統參數選擇并對整個系統穩

2、定性作出判斷,整合到硬件電路中對直流電機調速的實現提供了一種有效的途徑。關鍵詞:直流電機;FPGA;PID控制ABSTRACTThis paper introduces a kind of method of DC motor speed modification based on PWM theory by the FPGAShowing some relative knowledge upon the DCmotor timing,the basic theory and the way to implementAnd it emphasizes on the way for carryi

3、ng out PWM signals based on FPGAThese PWM signalsadvantages are based on its high frequency and duty cycle stepping fine adjustment. It offers a sort of efficient methods for the DC motor speedcontrolling systemThen it contributes PID arithmetic into the design of closed-loop control. It pares the p

4、references of closed-loop control in MATLAB with the hardwire circuits, which provides an efficient way for DC motor speed control.Key Words: DC motor FPGA PID_control-. z.- . 可修編. 目錄 TOC o 1-3 h z u HYPERLINK l _Toc355895153第一章緒論 PAGEREF _Toc355895153 h 2HYPERLINK l _Toc3558951541.1 課題背景和意義 PAGEREF

5、 _Toc355895154 h 2HYPERLINK l _Toc3558951551.2 國內外研究現狀 PAGEREF _Toc355895155 h 2HYPERLINK l _Toc3558951561.3 本課題研究內容 PAGEREF _Toc355895156 h 2HYPERLINK l _Toc3558951571.4 本章小結 PAGEREF _Toc355895157 h 2HYPERLINK l _Toc355895158第二章直流電機 PAGEREF _Toc355895158 h 2HYPERLINK l _Toc3558951592.1 直流電機控制系統 PAG

6、EREF _Toc355895159 h 2HYPERLINK l _Toc3558951602.2 直流電機的數學模型建立 PAGEREF _Toc355895160 h 2HYPERLINK l _Toc3558951612.3 本章小結 PAGEREF _Toc355895161 h 2HYPERLINK l _Toc355895162第三章 PID算法 PAGEREF _Toc355895162 h 2HYPERLINK l _Toc3558951633.1 PID算法 PAGEREF _Toc355895163 h 2HYPERLINK l _Toc3558951643.1.1 模擬

7、PID PAGEREF _Toc355895164 h 2HYPERLINK l _Toc3558951653.1.2 數字PID PAGEREF _Toc355895165 h 2HYPERLINK l _Toc3558951663.2 數字PID參數整定方法 PAGEREF _Toc355895166 h 2HYPERLINK l _Toc3558951673.3 本章小結 PAGEREF _Toc355895167 h 2HYPERLINK l _Toc355895168第四章系統軟件設計 PAGEREF _Toc355895168 h 2HYPERLINK l _Toc35589516

8、94.1 MATLAB設計思想 PAGEREF _Toc355895169 h 2HYPERLINK l _Toc3558951704.2 設計步驟及結果 PAGEREF _Toc355895170 h 2HYPERLINK l _Toc3558951714.3 本章小結 PAGEREF _Toc355895171 h 2HYPERLINK l _Toc355895172第五章基于FPGA的直流電機閉環控制數字硬件系統設計 PAGEREF _Toc355895172 h 2HYPERLINK l _Toc3558951735.1 系統的工作原理 PAGEREF _Toc355895173 h

9、2HYPERLINK l _Toc3558951745.2 系統硬件設計及分析 PAGEREF _Toc355895174 h 2HYPERLINK l _Toc3558951755.2.1 頻率計的設計 PAGEREF _Toc355895175 h 2HYPERLINK l _Toc3558951765.2.2 PWM驅動控制電路的設計及分析 PAGEREF _Toc355895176 h 2HYPERLINK l _Toc3558951775.2.3 PWM波形發生器 PAGEREF _Toc355895177 h 2HYPERLINK l _Toc3558951785.2.4 抗干擾濾

10、波器模塊 PAGEREF _Toc355895178 h 2HYPERLINK l _Toc3558951795.2.5 PID算法設計 PAGEREF _Toc355895179 h 2HYPERLINK l _Toc3558951805.2.6 綜合模塊設計 PAGEREF _Toc355895180 h 2HYPERLINK l _Toc3558951815.3 本章小結 PAGEREF _Toc355895181 h 2HYPERLINK l _Toc355895182第六章總結和展望 PAGEREF _Toc355895182 h 2HYPERLINK l _Toc355895183

11、6.1 總結 PAGEREF _Toc355895183 h 2HYPERLINK l _Toc3558951846.2 展望 PAGEREF _Toc355895184 h 2HYPERLINK l _Toc355895185參考文獻 PAGEREF _Toc355895185 h 2HYPERLINK l _Toc355895186附錄程序 PAGEREF _Toc355895186 h 2HYPERLINK l _Toc355895187致 PAGEREF _Toc355895187 h 2-. z.第一章緒論1.1 課題背景和意義在現代工業中,各種生產機械根據其工藝特點,對拖動的電動機

12、提出了各種不同的要求,有的要求能迅速啟動、制動和反轉;有的要求多臺電動機之間的轉速按一定的比例協調運動;有的要求電動機到達極慢的穩速運動;有的要求電動機起、制動平穩,并能準確的停在給定的位置。可見各種拖動系統都是通過控制轉速從而控制轉矩來實現的。與交流電動機相比,直流電動機由于調速性能好、靜差率小、穩定性好以及具有良好的動態性能、運行效率高等優點,因此在相當長的時期內,高性能的調速系統幾乎都采用了直流調速系統。FPGA作為新型的大規模可編程數字集成電路器件,它充分利用計算機輔助設計技術進展器件的開發與應用。用戶借助于計算機不僅能自行設計專用集成電路芯片,還可在計算機上進展功能仿真和實時仿真,及

13、時發現問題,調整電路,改良設計方案。這樣,設計者不必動手搭接電路、調試驗證,只須在計算機上操作很短的時間,即可設計出與實際系統相差無幾的理想電路。而且,FPGA器件采用標準化構造,體積小、集成度高、功耗低、速度快,可無限次反復編程,因此,成為科研產品開發及其小型化的首選器件,其應用極為廣泛。1.2 國內外研究現狀PID控制是工業工程中應用最為廣泛,最有效率的控制理論,從它的出現到現在已經經歷了很長的時間,今天它依然在工業控制中占有不可替代的地位,相信在以后的很長一段時間PID控制還會有很強的生命力。下面我將粗略列寫一下國內外對于PID控制器的開展的主要進程:1在國外,1973年美國和日本以學習

14、控制和智能控制為題召開了專題研討會,在20世紀70年代發表了大量有關學習控制和智能控制的文章。1977年Sa-tadis發表了他著名的專著隨機系統的自組織控制,總結了這些理論控制成果。在20世紀80年代,Arimoto與其同事Kawamura對開環的P型,PI型,PID型以及 D型,PD型的自學習算法的理論與應用做了大量研究工作,取得了相當的理論成果,并取得了在機器人應用的成功,其研究工作帶動了當時國際學術界對迭代學習控制的深入研究。最初,關于其他類型控制系統的研究結果遠不如機器人迭代學習控制的研究結果多。它的使用區別于常規控制方法。經典控制理論對具有在一定時間內循環往復運動的機器人的應用難以

15、收到令人滿意的效果,尤其當機器人處于高速運動的狀態,這主要是因為那些控制理論應用時在循環中對系統的響應總是需要一定的時間才能到達期望值,即只有當系統經歷一段過渡時間后好的性能才能得到保證。這樣對機器人短暫的重復運動控制顯然是不可取的。由于迭代學習控制自身的一些特點,如對系統只需要較少的先驗知識和較少的計算量,一般不需要辨識系統的參數,從而能處理位置參數和不確定性問題,具有一定的魯棒性等,決定了它可作為一類機器人或機械裝置基于自主訓練來調整運動性能的一種較好的方法。它的研究對諸如機器人等有著非線性、強耦合、難建模且對運動控制有著高速、高精度要求的對象有著重要的意義。此后十幾年間,自學習控制技術隨

16、著與其相關的學科及應用領域,如計算機技術、現代智能控制、機器人技術等的開展而開展。第一次國際工程技術會議中,印度測試技術專家基于微處理器的數字PID控制器對于直流電機轉速的控制中,得出閉環控制系統下電磁式傳感器用于轉速的測量,并且可控硅整流器對于脈寬變換作用影響輸出轉速的設計,都符合設計要求。2在國內,許多文獻提出系統初始條件不在期望軌跡上時,如何保證學習控制收斂的問題,但其收斂條件相對嚴格,任雪梅等首先采用初始狀態學習方法解決了仿射非線性系統在D性學習律作用下的初態問題,但需要系統的輸入矩陣B(t)來確定下次迭代時系統的初始狀態。常規的方法在FPGA上實現PID控制器,需要消耗大量的乘法器、

17、加法器和存儲器,沒有充分利用FPGA的資源。其中許忠仁在2012年的*石油化工大學學報上發表并且第一次提出這樣兩種比照算法:在FPGA上采用直接DA(DA-I)及改良的DA(DA-II)算法,實現數字PID控制器。較常規方法要節省許多硬件資源,采用兩級流水線技術,進一步減少FPGA中的查詢表LUT單元,處理速度得到改善。并且選用定點運算的方法進展計算,可以提高運算的精度。另有2010年錦曉曦在*科學期刊上提到用PID自整定方法優化整定增益值、積分時間值和微分時間值,還可以自動確定滯后值和過程變量峰值偏移。這些參數用于減少當PID自整定設置持續振蕩限幅時過程噪聲所產生的影響。相似的是,國內也有很

18、多研究基于FPGA的模糊自整定PID控制器設計,結合MATLAB的間接設計方法,通過對控制器并行實現優化前后比照,保證了快速性的前提下,減少硬件資源。但是由于工業現場各種動力設備不斷的啟動停頓,現場環境惡劣,電磁干擾嚴重,即使具有經過精心設計的抗干擾設備的工控計算機,都有可能引文偶然的人為或非人為的干擾而出現控制出錯或者程序跑飛現象。如果單片機的輸出對應執行機構,則將對整個控制系統產生直接的影響。有一篇研究正好針對這個問題將計算機程序實現的PID控制算法從計算機中別離出來,設計基于FPGA的通用PID數字電路芯片,而保存計算機對參數的整定功能。1.3 本課題研究內容本文主要研究的問題是,分析直

19、流電機的調速方式,利用當前先進的數字技術和現代控制理論,在消除干擾環境中準確控制電機的起、制動,調節轉速,以提高可靠性、降低本錢。最常用的直流調速技術是脈寬調制(PWM) 直流調速技術,它具有調速精度高、響應速度快、調速*圍寬和耗損低等特點。系統采用PWM調節實現對電機的調速,采用紅外發射接收對管采集電機轉速。FPGA系統內部進程工作在并行的狀態下,各子進程相互獨立,輸出的PWM波形具有頻率高,占空比調節步精細的特點。高頻率的PWM波形對直流電機工作的穩定起著極其重要的作用,尤其在高負載的調速系統中表達的優越性極其明顯,頻率越高電機運行越平穩。另外,對PWM 波形輸出占空比的調節步進細分的特點

20、大大的擴大了調速系統的調速*圍。本設計中主要研究FPGA產生PWM波形的優越性并對其進展驗證,并且針對FPGA系統進展等精度頻率測量的高精度性進展驗證。1.4 本章小結本章主要介紹了本設計的課題背景和意義及對國內外現狀的分析,在此根底上建立本設計所要研究的內容及主要模塊認識。現代工業的高速開展使原始、單一的控制技術已經很難適應現代控制的要求,將新型的控制理論,如學習控制,與傳統的PID控制技術相結合在未來的控制領域內會有廣闊的前景。第二章直流電機2.1 直流電機控制系統系統主要由PID控制器、光電測速器編碼器、PWM脈沖寬度調制器、直流電機等組成。其中控制器采用數字PID控制器;采樣采用光電編

21、碼器;PWM脈沖寬度調制器對模擬信號電平進展數字編碼;光電編碼器采用透射型帶光柵盤的光電斷續器。數學模型圖如圖2-1所示。圖2-1 電機控制系統原理框圖2.2 直流電機的數學模型建立1直流電機電壓與輸出轉速關系圖:圖2-2 電機電壓輸出與轉速關系直流電機電壓與輸出轉速之間的傳遞函數為: (2-1)本研究采用永磁直流齒輪減速電機,型號為Zheng Gear-Bo* Motor ZGB37RG。有關參數表如表2-1、2-2所示。表2-1參數名稱符號大小單位電樞電阻R8.33電樞電感L6.17mH電動勢常數V/(rads)轉矩系數0.03954電樞慣量負載慣量0.0137傳動比N7860:18根據以

22、上參數可得:總慣量J=+=;。由此可知,所以可將傳遞函數近似為:2-2代入電機參數得電壓輸入與輸出轉速之間的傳遞函數關系為2-3表2-2電壓馬達型號減速比齒輪箱長度空載轉速額定轉速額定力矩額定電流 VMm RrmmRpmRpmKg Amp12V25G1/51630.553.5150.1812V50G1/22729.52014150.9212V50G1/14826.53021120.9212V50G1/9526.550357.20.9212V50G1/47.524.5100703.60.9224V35G1/51630.564.2150.3324V50G1/22729.52014130.3324V

23、50G1/14826.530218.60.3324V50G1/9526.550354.80.3324V50G1/47.524.4100702.60.33電機最大轉速以低電壓、低轉速以及大轉矩的實際直流電機為仿真背景,選額定電壓24V,減速比1/47.5,空載轉速100r/min,額定轉速70r/min,給定轉速60r/min。2.3 本章小結本章主要介紹由電機的分類到直流電機初步數學模型建立,MATLAB函數分析判斷的電機電壓與轉速關系來源。最終確定了所選直流電機的種類,對其參數進展羅列,選出自己后面設計所用其中一個標準參數,并計算直流電機最大頻率,有助于確定頻率計及計數器的位數。最后結合生活

24、中的應用,總結了直流電機大致在生活中擔任的角色及實用性作用。第三章PID算法3.1 PID算法PID是Proportional比例、Integral積分、Differential微分三者的縮寫,PID控制是連續系統中技術最成熟、應用最廣泛的一種控制算法。比例控制是對當前偏差的反響,積分控制是基于新近錯誤總數的反響,而微分控制則是基于錯誤變化率的反響。PID控制實質是測量偏差、糾正偏差,并且根據輸入的偏差值,按比例、積分、微分的函數關系進展乘、加運算,把運算結果用以輸出控制。3.1.1 模擬PID在模擬控制系統中,調節器最常用的控制規律是PID控制,常規PID控制系統原理框圖如圖3-1所示,系統

25、由模擬PID調節器、執行機構及控制對象組成。圖3-1 模擬PID控制系統原理框圖 PID調節器是一種線性調節器,它根據給定值與實際輸出值構成的控制偏差:=-3-1模擬PID調節器的控制規律為3-2式中,為比例系數,為積分時間常數,為微分時間常數。由式3-2可得,模擬PID調節器的傳遞函數為3-3由于本設計主要采用數字PID算法,所以對于模擬PID只做此簡要介紹。3.1.2 數字PID由于計算機只能識別數字量,不能對連續的控制算式直接進展運算,故在計算機控制系統中,首先必須對控制規律進展離散化的算法設計。在連續時間域中,PID控制器控制系統框圖也直接可表述為圖3-2 PID控制器控制系統框圖 P

26、ID控制傳遞函數為:3-4時域拉氏發變換為:3-5其中:e(t)為控制器的輸入即控制系統的給定量與輸出量的偏差;u(t)為控制器的輸出;為比例系數;為積分時間常數;為微分時間常數。比例控制器P控制輸出函數關系為:3-6協調參數:當增大時,可以加快系統的動態響應速度,減小系統穩態誤差,但不能完全消除穩態誤差,并且過大,會引起系統振蕩,超調量增加,有可能造成系統不穩定。2比例-積分控制器PI控制輸出函數關系為:3-7參加積分環節,目的是消除系統穩態誤差,因為隨著不斷累加偏差,最終會消除穩態誤差,但是會影響系統的穩定性。積分時間常數越大,積分作用越弱,反之則越強。因此,減小,可以消除穩態誤差,但是過

27、小,可能會造成系統不穩定,并且系統動態響應速度過慢。3比例-積分-微分控制器PID控制輸出函數關系為:3-8參加微分環節,用來改善系統動態響應速度過慢。在響應過程中,提前抑制偏差向任何方向的變化,對偏差變化進展提前預報,降低系統超調,增加系統穩定性。協調參數,當過大過小,也會影響系統的動態響應速度及穩定性。3.2 數字PID參數整定方法經歷法是靠工作人員的經歷及對工藝的熟悉程度,參考測量值跟蹤與設定值曲線,來調整P、I、D三者參數的大小的,具體操作可按以下口訣進展:參數整定找最正確,從小到大順序查;先是比例后積分,最后再把微分加;曲線振蕩很頻繁,比例度盤要放大;曲線漂浮繞大灣,比例度盤往小扳;

28、曲線偏離回復慢,積分時間往下降;曲線波動周期長,積分時間再加長;曲線振蕩頻率快,先把微分降下來;動差大來波動慢,微分時間應加長。3.3 本章小結本章主要針對PID算法進展分類討論,比照模擬PID算法和數字PID算法,由于計算機只能識別數字量,不能對連續的控制算式直接進展運算,故在計算機控制系統中,首先必須對控制規律進展離散化的算法設計。也就是要運用數字PID算法才能識別。方便得出PID參數,本文介紹了經歷法得到參數。第四章系統軟件設計4.1 MATLAB設計思想本設計運用它的圖像仿真功能判斷給出系統閉環傳遞函數的穩定性,然后使用Simulink仿真模塊對前面給定函數形式判斷測試出適宜的P、I、

29、D參數。PID控制已經形成了典型構造,參數易于調整,構造簡單,且結果改變靈活如PI、PD等,所以它被廣泛應用于許多工業生產過程控制,獲得了良好的效果。圖4-1 系統PID控制框圖4.2 設計步驟及結果Matlab程序設計仿真如下:判斷閉環系統的穩定性:圖4-2 直流電機輸出電壓與轉速函數關系Bode圖和Nyquist曲線圖標記出奈圭斯特曲線與負實軸的交點及用bode圖繪出的相頻特性曲線與線相交點坐標。分析:1由第一個圖知道,開環傳遞函數有兩個極點,即有兩條根軌跡綠色局部,沒有零點。 2由圖二知道,零極點圖中只繪出了一個極點P1=-66.4,而省略了另一個極點P2=-1350。 3由開環傳遞函數

30、表達式知,開環傳遞函數在,s右半平面極點個數P=0,s=jw,w:0到正無窮變化時,奈圭斯特曲線繞點-1,j0逆時針旋轉圈數為N=0,根據奈圭斯特穩定判據得,Z=P-2N=0,所以閉環系統是穩定的,其中Z表示閉環傳遞函數在s平面右半平面極點個數。 4根據對數頻率穩定判據又稱Bode判據,閉環系統穩定的充分必要條件是。通過觀察也可知,相頻特性曲線過線次數為0,即N=0,系統是穩定的。并且由P=0,也可計算N=0。繪制未參加校正裝置的系統開環階躍響應曲線,根據系統的開環傳遞函數,系統的階躍響應曲線如下列圖所示。圖4-3 系統階躍響應曲線圖由圖可知,系統的階躍響應曲線較平滑,經過大約0.05s就趨于

31、穩定,可知設計函數較理想。3純PID控制仿真對電機同步控制系統采用PID,根據式2-3的傳遞函數,通過屢次試驗,得到較適宜的PID參數:,設計了系統PID仿真構造圖及simulink仿真模塊,并得出仿真結果,分別如下列圖4-4、4-5所示:圖4-4 系統PID控制下仿真模塊設計aPID控制下全局圖(b)PID控制下局部圖圖4-5 PID控制下直流電機同步仿真響應曲線4.3 本章小結本章主要介紹了運用MATLAB軟件對第二章直流電機給定函數關系進展程序編寫,判斷函數的穩定性,運用到Nyquist判據和Bode判據,觀察圖中零極點顯示及特殊點,并針對具體結果作出分析,最終得出第二章所給函數決定的閉

32、環控制系統是穩定的,便于后面的進一步設計。第五章基于FPGA的直流電機閉環控制數字硬件系統設計5.1 系統的工作原理1總體硬件構造圖5-1 基于FPGA的直流電機閉環控制系統的總體硬件構造2基于FPGA的直流電機閉環控制系統的系統工作流程框圖如圖6-2圖5-2 直流電機閉環控制系統的系統工作流程框圖工作流程為:檢測到電機工作脈沖,將其轉換為實際轉速b,實際轉速b與通過鍵盤設定好的設定轉速a比擬并且分析,得出偏差值Q,內部的PID調節器對偏差Q和a,b進展分析,輸出調節比擬器2PWM波形發生器的比擬值的信號。比擬器2輸出的PWM波形接到電機開關控制器,電機控制器的輸出由輸入按鍵5控制。開關控制器

33、開時輸出PWM波形到H型驅動電路驅動電機工作。5.2 系統硬件設計及分析該局部主要針對原理圖,設計以下幾個模塊,對其基于FPGA設計及分析:頻率計、PWM驅動電路、比擬器、PID控制器、防干擾濾波器。5.2.1 頻率計的設計對電機轉速的測量是通過比照擬器輸出的脈沖個數在一定閘門時間進展記數。通過對記數值的轉換來得到電機的轉速。由于永磁直流齒輪減速電機額定轉速給定,在本設計中,閘門時間為0.25秒。假設在0.25秒的閘門時間內共檢測到 N個脈沖,脈沖數由光電編碼器進展轉換輸出,假設輸出分辨率選用1000個脈沖/圈,則可以通過計算得出電機的最高頻率 F=N*4*70/60轉/秒=4667個脈沖/秒

34、。采用十三位二進制計數器設計。根據頻率計的工作原理,將電路劃分為測頻控制器、計數器、鎖存器三個模塊。控制器產生1s脈寬的計數允許信號計數器對輸入信號的脈沖數進展累計鎖存器鎖存測得的頻率值下列圖給出十三位二進制頻率計的電路構造圖:圖5-3 十三位二進制電路構造圖1測頻控制器的設計根據頻率的定義和頻率測量的根本原理,測定信號的頻率必須有一個脈寬為1s的對輸入信號脈沖計數允許的信號;1s計數完畢后,計數值鎖入鎖存器的鎖存信號和為下一測頻計數周期做準備的計數器清0信號。這三個信號可以有一個測頻控制信號發生器產生,即下列圖5-4中的testtcl,它的設計要求是,testtcl的計數使能信號t_en能產

35、生一個1s脈寬的周期信號,并對頻率計的每一計數器t4b的使能端進展同步控制。當t_en為高電平時,允許計數;低電平時停頓計數,并保持其所計的脈沖數。在停頓計數期間,首先要產生一個鎖存信號load,在該信號上升沿時,將計數器在前1s鐘的計數值鎖存進各鎖存器reg4b中,可有外部的7段數碼管顯示計數值。設置鎖存器的好處是,顯示的數據穩定,不會由于周期性的清零信號而不斷閃爍。鎖存信號之后,必須有一清零信號rst_t對計數器進展清零,為下一秒的計數操作作準備。圖5-4 頻率計測頻控制器testtcl測控電路圖及時序圖計數器設計頻率計的核心元件之一是一個13位二進制脈寬計數器。下列圖5-5十三位二進制計

36、數器是一個帶有異步復位和同步時鐘使能的十進制加法計數器,當時鐘信號clk、復位信號rst或時鐘使能信號en中任一信號發生變化,都將啟動進程語句PROCESS。如果此時rst為“1,將對計數器清零,即復位,這項操作是獨立于clk的,因而稱異步;如果rst為“0,則看是否有使能信號的上升沿;如果此時有clk信號,又測得en=“1,即允許計數器計數,此時假設滿足計數值小于9,計數器將進展正常計數,即執行CQI = CQI + 1,否則對CQI清零;但如果測得en=0,則跳出IF語句,使CQI保持原值,并將計數值向端口輸出:AA=CQI。圖5-5 十三位二進制計數器電路及時序仿真波形由仿真波形可知,時

37、鐘使能為1時允許計數器計數,依次從1計數到3,滿足設計要求。鎖存器當t_en為高電平時,允許計數;低電平時停頓計數,并保持其所計的脈沖數。在停頓計數期間,首先要產生一個鎖存信號load,在該信號上升沿時,將計數器在前1s鐘的計數值鎖存進各鎖存器reg13b中,可有外部的7段數碼管顯示計數值。設置鎖存器的好處是,顯示的數據穩定,不會由于周期性的清零信號而不斷閃爍。鎖存信號之后,必須有一清零信號rst_t對計數器進展清零,為下一秒的計數操作作準備。圖5-6 13位鎖存器電路圖及時序仿真分析:根據時序仿真波形可知,鎖存器對計數器前1秒計數值進展鎖存并保存,符合設計要求。頂層頻率計設計有了上圖5-4、

38、5-5、5-6的電路元件,就可以制作頂層頻率計,使其成為能自動測頻的使用頻率計了。改造后電路如圖5-7所示。根據圖5-4和圖5-5可以算出,如果從F1HZ輸入的控制時鐘的頻率是1HZ,則計數使能信號T-EN的脈寬即為1s,FIN的頻率值設為計算出來的直流電機最大頻率4667HZ。圖5-7 13位二進制頻率計電路圖及時序波形分析:由波形圖可知,輸出顯示為十進制數4,將FIN的頻率值最高位顯示出來,根本符合設計要求。5.2.2 PWM驅動控制電路的設計及分析FPGA中的數字PWM控制與一般的模擬PWM控制不同。用FPGA產生PWM波形,只需FPGA內部資源就可以實現。用數字比擬器代替模擬比擬器,數

39、字比擬器的一端接設定值計數器輸出,另一端接線性遞增計數器輸出。當線性計數器的計數值小于設定值時輸出低電平,當計數值大于設定值時輸出高電平。設定值計數器設置PWM信號的占空比。當U/D=1,輸入CLK2,使設定值計數器的輸出值增加,PWM的占空比增加,電機轉速加快;當U/D=0,輸入CLK2,使設定計數器的輸出值減小,PWM的占空比減小,電機轉速變慢。在CLK0的作用下,鋸齒波計數器輸出周期性線性增加的鋸齒波。當計數值小于設定值時,數字比擬器輸出低電平;當計數值大于設定值時,數字比擬器輸出高電平,由此產生周期性的PWM波形。旋轉方向控制電路控制直流電機轉向和啟/停,該電路兩個2選1多路選擇器組成

40、,Z/F鍵控制選擇PWM波形從正端Z進入H橋,還是從負端F進入H橋,以控制電機的旋轉方向。START鍵通過“與門控制PWM的輸出,實現對電機的工作/停頓控制。H橋電路由大功率晶體管組成,PWM波形通過方向控制電路送到H橋,經功率放大以后驅動電機轉動。圖5-8是由功率放大電路和H橋組成的正反轉功率驅動電路,圖5-9是具體FPGA中PWM驅動控制電路。圖5-8 FPGA直流電機驅動控制電路圖5-9 FPGA直流電機控制模塊參數設置:clk5為消抖動電路的濾波時鐘,設為clk5=16384HZ;CLK0為四分頻電路時鐘,設為CLK0=4HZ; D_STP為電機運轉速度控制時鐘,根據之前計算得出D_S

41、TP=1/4667HZ;TT為給定轉速對應時鐘,設為TT=1/(1000*4*60/60)HZ;Z_F控制電機運轉方向,由控制選擇PWM波形從正端Z進入H橋,還是從負端F進入H橋,以控制電機旋轉。圖5-10 PWM驅動控制仿真波形5.2.3 PWM波形發生器 PWM信號產生單元主要由三個模塊構成:計數器、電機轉速給定值控制模塊和比擬器。圖5-11給出了PWM發生器設計模塊。T13a為十三位二進制計數器,是一個脈寬計數器,在clk的鼓勵下輸出從0開場的逐漸增大的鋸齒波。DECD是一個轉速控制模塊,兩路數值同時加在數字比擬器上,當脈寬計數器輸出值大于DECD輸出的規定值時,比擬器輸出*=1;當脈寬

42、計數器輸出值小于等于DECD輸出的規定值時,比擬器輸出*=0。改變DECD的輸出值,就等于改變PWM輸出信號的占空比。圖5-11 PWM發生器分析:通過仿真時序波形可知,輸出結果為*=1,也就是說直流電機轉速控制模塊即給定轉速值小于計數器輸入轉速值,對應時鐘正好相反,前者比后者大,符合輸出要求。5.2.4 抗干擾濾波器模塊在電動機控制應用中,經常會遇到尖脈沖干擾。信號在FPGA器件內部通過連線和邏輯單元時,都有一定的延時。延時的大小與連線的長短和邏輯單元的數目有關,同時還受器件的制造工藝、工作電壓、溫度等條件的影響。信號的上下電平轉換也需要一定的過渡時間。由于存在這兩方面因素,多數信號的電平值

43、發生變化時,在信號變化的瞬間,組合邏輯的輸出有先后順序,并不是同時變化,往往會出現一些不正確的尖峰干擾信號。這些干擾往往只影響個別采樣點數據,受干擾的數據與其他采樣數據相比有明顯差異,而且是隨機發生的。如果采用算術平均值濾波法或是滑動平均值濾波法,則幅值較大的干擾將會被平均到計算結果中去,因此平均值濾波法無法消除隨機脈沖干擾,同樣采用普通數字濾波器也只是減弱脈沖干擾的影響,而無法消除。下列圖5-12給出了FPGA內部防脈沖干擾濾波器硬件實現和仿真波形,其中clk為時鐘信號datain為輸入信號,dataout為輸出信號,可以明顯看出濾波器輸入信號出現隨機干擾起到良好濾波效果。圖5-12 防脈沖

44、干擾濾波器硬件實現和仿真波形5.2.5 PID算法設計利用FPGA內部豐富的運算模塊和時序邏輯處理模塊很方便實現數字硬件PI調節器,整個PI算法在100ns內即可完成,其硬件實現電路如圖5-13所示,為了防止溢出,調節器設置了輸出飽和限制,另外對積分器采用單獨的時鐘,以便于對積分器靈活的控制。圖5-13 PI調節器的硬件實現圖5-14 PI調節器RTL電路圖和仿真時序5.2.6 綜合模塊設計系統綜合設計模塊:將PWM波形發生器、十三位二進制頻率計、PID算法電路、消抖電路幾個模塊綜合,設計相應參數完成電路設計。圖5-15 基于FPGA的直流電機轉速閉環控制系統圖5-16 系統綜合設計電路圖5.

45、3 本章小結本章介紹了直流電機轉速閉環控制的PID算法結合整體硬件FPGA設計,從每一個模塊的獨立設計到綜合到整個電路模塊的設計,章節內容詳細,完成了本設計主要要求的目標。系統綜合設計模塊:將PWM波形發生器、十三位二進制頻率計、PID算法電路、消抖電路幾個模塊綜合,設計相應參數完成模塊設計。第六章總結和展望6.1 總結在調速系統領域,作為一類新型的電機,直流電機在其構造特點和運行方式上具有比其他類型的傳統電機更為優秀的運行性能和更廣泛的適用*圍,因而應用前景廣闊。針對直流電機控制的研究越來越深入,控制器也不斷改良和翻新,控制性能不斷提高。本論文基于FPGA對直流電機進展PID自動控制,整個控

46、制系統看似復雜,但是將其中幾個模塊拆開來獨立進展研究就顯得簡單容易多了。整個系統體積小、可靠性高、靈活性強,實現了數字式控制。論文也通過試驗驗證了數字化控制器的先進性。論文主要完成了以下工作:分析了直流電機及其控制其器的歷史現狀和FPGA的優勢,得出基于FPGA的直流電機控制器具有很強的現實意義和實用價值。詳細分析了直流電機的構造和工作原理,建立了數學模型,通過對電機最大轉速及頻率的計算,方便后面頻率計的設計。針對PID控制技術介紹了自動控制技術的優勢和原理等內容,得出PID控制對電機轉速控制的實用意義。利用Matlab編寫程序,判斷電機轉速控制函數的穩定性及仿真得出PID控制的三個參數值。運

47、用FPGA進展直流電機的硬件電路模塊設計并完成仿真工作及調試驗證。本文研究說明,基于FPGA的永磁直流減速電機速度控制系統,采用數字硬件方式實現控制算法,整個系統速度快,可靠性高、具有可擴展性,大大縮短了硬件開發的周期。實驗結果說明,該控制器能有效的提高系統的動態響應速度,具有良好的跟蹤性能。6.2 展望隨著科技的開展,人們對電機控制的整體性能要求越來越高,促使電機向著體積、重量不斷減小,承載能力不斷增強,控制性能進一步提高的方向開展。為了進一步提高性能,本控制器還存在一些需要改良的地方,具體如下:在控制芯片,可以選用更高端的FPGA器件,比方Strati*系列的FPGA。一方面提高運行頻率,

48、提高控制速度;另一方面增大器件邏輯但愿容量,把更多工作轉化為硬件模塊完成,比方通信模塊,增加系統的可靠性,提高系統地控制速度,甚至可以考慮一塊芯片上采用多個軟核處理器協同工作。在控制策略方面,可以采用模糊控制、自適應控制、滑膜控制等復雜控制策略以進一步提高性能。在轉矩脈動方面,可以通過優化電機的設計和采用一些新方法來抑制直流電機的轉矩脈動,從而進一步提高直流電機控制的精度。4在電機驅動控制模塊,PWM波形發生器的設計是影響電機轉速控制的關鍵性因素,可以通過比照擬器的進一步擇優設計,完善后面的工作。參考文獻1史國生.交直流調速系統M.第1版.:化學工業,2002.2朱立圣.直流電機閉環控制技術研

49、究D.碩士學位論文,*電子信息職業技術學院,2009:9-10.3李凡.基于FPGA的全數字無刷直流電機控制系統研究D.碩士學位論文,:*大學電氣工程學院,2011:41-42.4莫非郎才:wenku.baidu./view/1da0aec32cc58bd63186bdd5.html.2012,5.5王慶明,孫怡.基于模糊PID控制的直流電機同步控制系統J.機電工程,2012,295.6陳晉煒,周玉潔.數字PID控制器的FPGA實現及軟硬件協同仿真J.信息技術,2005,9.7鄧志良,易興邦.基于SIMULINK的無刷直流電機模糊PID控制策略研究J. *信息職業技術學院學報,2011,106

50、.:499潘松,黃繼業.2006.EDA技術實用教程.第3版.:科學.10勤加緣展會:e*po.qjy168./e*p_36028504.html.2013.11丁鵬,姚平喜.基于Matlab的無刷直流電機Fuzzy-PID控制研究及其建模仿真J.機電工程技術,2012,412.12李維軍,韓小剛,李俊.基于單片機用軟件實現的PWM直流電機調速. .cpvip.附錄程序1matlab判斷系統穩定性num=49600;den=1 1350;den1=1 66.4;den2=conv(den,den1);printsys(num,den2)%在屏幕上打印系統gm,pm,wcg,wcp=margin

51、(num,den2)%計算幅值裕度和相角裕度及對應點的頻率值subplot(4,1,1),rlocus(num,den2)%開環系統根軌跡subplot(4,1,2),pzmap(num,den1)%根軌跡并標出傳遞函數的零極點subplot(4,1,3),nyquist(num,den2)%奈氏判據判斷系統穩定性subplot(4,1,4),bode(num,den2)%對數頻率穩定判據運行結果:num/den = 49600 s2 + 1416.4 s + 89640gm =Inf pm =Inf wcg =Inf wcp =NaN2繪制未參加校正裝置的系統開環階躍響應曲線,根據系統的開環

52、傳遞函數,程序如下:t=0:0.01:2;num=49600;den=1 1416.4 89640;c=step(num,den,t);plot(t,c);*label(time-sec);ylabel(output speed);grid;3含時鐘使能和異步復位的13位二進制計數器LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY T13 IS PORT ( CLK,EN,RST : IN STD_LOGIC; AA : OUT STD_LOGIC_VECTOR(12 DOWNTO

53、1);END T13;ARCHITECTURE behav OF T13 IS signal CQI : STD_LOGIC_VECTOR(12 DOWNTO 0);BEGIN PROCESS(CLK,EN,RST) BEGIN IF RST = 1 THEN CQI0); -計數器異步復位 ELSIF CLKEVENT AND CLK = 1 then IF EN =1 THEN if CQI9 then CQI = CQI + 1; END IF; END IF;end if; END PROCESS; AA = CQI(12 DOWNTO 1);END behav;4測頻控制LIBRAR

54、Y IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY testctl IS PORT (clkk: IN STD_LOGIC; t_en,rst_t,load: OUT STD_LOGIC); END;ARCHITECTURE behav OF testctl ISsignal div2clk:std_logic;BEGIN PROCESS(clkk) BEGINIF clkkEVENT AND clkk=1 THEN -檢測時鐘上升沿div2clk=not div2clk;end if;END PR

55、OCESS;process(clkk,div2clk)beginif clkk=0and div2clk=0then rst_t=1;else rst_t=0;end if;end process;load=not div2clk;t_en=div2clk;END behav; 5鎖存器LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY reg13b IS PORT (load: IN STD_LOGIC; din : in STD_LOGIC_VECTOR(12 DOWNTO 1);

56、dout : OUT STD_LOGIC_VECTOR(12 DOWNTO 1); END reg13b;ARCHITECTURE behav OF reg13b ISBEGIN PROCESS(load,din) BEGINIF loadEVENT AND load=1 THEN -檢測時鐘上升沿dout D D D D NULL ; END CASE ; END PROCESS ; PROCESS(CLK) BEGIN IF CLKEVENT AND CLK = 1 then CQ = CQ + 1; END IF; END PROCESS; DSPY=CQ; END ; 7十三位二進制L

57、IBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY T13a IS PORT ( CLK : IN STD_LOGIC; AA : OUT STD_LOGIC_VECTOR(12 DOWNTO 1);END T13a;ARCHITECTURE behav OF T13a IS SIGNAL CQI : STD_LOGIC_VECTOR(12 DOWNTO 0);BEGIN PROCESS(CLK) BEGIN IF CLKEVENT AND CLK = 1 then CQI = CQI

58、+ 1; END IF; END PROCESS; AA = CQI(12 DOWNTO 1);END behav;8比擬器library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity pare is port(a: in std_logic_vector(11 downto 0); b: in std_logic_vector(11 downto 0); *: out std_logic); end pare; architecture behave of pare is begin pr

59、ocess(a,b) begin if (a b) then * =1; ELSE * c_value); return var_temp;end function f_something; -e*amples: -f_something(c_size=3,c_value=Z)=ZZZ -f_something(c_size=3,c_value=1)=111 -. typesdelay register- type type_sr is array(0 to iDelayD -1)of std_logic_vector(iDataWidith - 1 downto 0); signals- signal v_error:std_logic_vector(iDataWidith - 1 downto 0);signal v_error_KM:std_logic_vector(iDataWidith-1 downto 0);sign

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯系上傳者。文件的所有權益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網頁內容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
  • 4. 未經權益所有人同意不得將文件中的內容挪作商業或盈利用途。
  • 5. 人人文庫網僅提供信息存儲空間,僅對用戶上傳內容的表現方式做保護處理,對用戶上傳分享的文檔內容本身不做任何修改或編輯,并不能對任何下載內容負責。
  • 6. 下載文件中如有侵權或不適當內容,請與我們聯系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論