




下載本文檔
版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報或認(rèn)領(lǐng)
文檔簡介
1、一、設(shè)計目標(biāo):設(shè)計一個8位二進(jìn)制乘法電路,采用移位相加的方法實現(xiàn)八位二進(jìn)制數(shù)相乘。 二、設(shè)計思路與實現(xiàn)方案:1 .設(shè)計思路:8位二進(jìn)制乘法采用移位相加的方法。即用乘數(shù)的各位數(shù)碼,從低位開始依次與被乘數(shù)相乘, 每相乘一次得到的積稱為部分積,將第一次(由乘數(shù)最低位與被乘數(shù)相乘)得到的部分積右移一位并與第二次得到的部分積相加,將加得的和右移一位再與第三次得到的部分積相加,再將相加的結(jié)果右移一位與第四次得到的部分積相加。直到所有的部分積都被加過一次。2 .實現(xiàn)方案:圖一:乘法器原理框圖start鎖存器清零控制器置被乘數(shù)A移位寄存器置乘數(shù)B將控制器設(shè)定為8位循環(huán)計數(shù)end輸出積p三、設(shè)計過程:模塊一:8
2、位右移寄存器:源程序:library ieee;use ieee.std_logic_1164.all;entity sreg8b isport(clk:IN STD_LOGIC; LOAD:IN STD_LOGIC;din:IN STD_LOGIC_VECTOR(7 DOWNTO 0);qb:out std_logic);end sreg8b;architecture behave of sreg8b issignal reg8:std_logic_vector(7 downto 0);beginprocess(clk,load)beginif load='1'then re
3、g8<=din;elsif clk'event and clk='1'thenreg8(6 downto 0 )<=reg8(7 downto 1);end if;end process;qb<=reg8(0);end behave;仿真波形:每個時鐘周期將輸入右移一位輸出。圖三:8位右移寄存器仿真結(jié)果模塊二:8位加法器:源程序:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity adder8 isport(b,a:in std_logic_ve
4、ctor(7 downto 0);s:out std_logic_vector(8 downto 0);end adder8;architecture behave of adder8 is begins<='0'&a+b;end behave;仿真波形:圖四:8位加法器仿真結(jié)果模塊三、16位鎖存器源程序源程序:library ieee;use ieee.std_logic_1164.all;entity reg16b isport(clk,clr:in std_logic;d:in std_logic_vector(8 downto 0);q:out std_l
5、ogic_vector(15 downto 0);as:out std_logic_vector(7 downto 0);end reg16b;architecture behave of reg16b issignal r16s:std_logic_vector(15 downto 0);beginprocess(clk,clr)beginif clr='1'then r16s<=(others=>'0');elsif clk'event and clk='1' thenr16s(6 downto 0)<=r16s(7
6、 downto 1);r16s(15 downto 7)<=d;as<= r16s(15 downto 8);end if;end process;q<=r16s;end behave;仿真波形:將輸入右移一位鎖存在鎖存器reg16高8位,as位reg16的高8位輸出。圖五、16位鎖存器仿真結(jié)果四、遇到問題及結(jié)果方法:問題:1、鎖存器為16位向量,無法直接取其高 8位輸出2、將綜合器件連接后進(jìn)行仿真時無輸出結(jié)果解決方法:1、在鎖存器上添加一個輸出端為8位向量,編程使其等于鎖存器的高8位2、將時鐘周期調(diào)大些五、綜合時序仿真結(jié)果及功能分析:圖六:8位移位相加乘法器原理框圖功能分析
7、:start信號的上升沿與高電平有兩個功能,即 16位寄存器清零和被乘數(shù) a7.0向移位寄存器sreg8加載;它的低電平則作為乘法使能信號,乘法時鐘信號從clk輸入。當(dāng)被乘數(shù)被加載于8位右移計數(shù)器sreg8后,隨著每個時鐘節(jié)拍,最低位在前由低位至高位逐為 移出。當(dāng)為1時與門andarith打開,8位乘數(shù)b7.0在同一節(jié)拍進(jìn)入 8位加法器,與上一次 鎖存在16位鎖存器reg16中的高8位進(jìn)行相加,其和在下一時鐘周期的上升沿被鎖存reg16.而當(dāng)被乘數(shù)的移出位是 0時,與門全零輸出。如此往復(fù),直至8個時鐘周期后,乘法運算過程終止。此時鎖存器reg16的輸出值即為最后的乘積。仿真波形:a7.0=12,b7.0=4相乘結(jié)果為48,由波形知結(jié)果正確。圖七:8位移位相加乘法器仿真結(jié)果六、小結(jié):本實驗是采用分模塊的設(shè)計方式,由分析可知原理框圖包括右移寄存器sreg8、鎖存器reg16、選通與門andarith、8位加法器四部分。 其中,sreg8對被乘數(shù)進(jìn)行右移移位,andarith 對乘數(shù)和被乘數(shù)相乘過程中的部分積進(jìn)行相與,adder8對右移寄存器的移位次數(shù)進(jìn)行計數(shù),reg16對乘數(shù)和被乘數(shù)相乘之后結(jié)果進(jìn)行存儲。將各部分連接起來構(gòu)
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
- 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。
最新文檔
- 紙機(jī)速度提升與穩(wěn)定性控制考核試卷
- 稀土金屬礦選礦廠節(jié)能減排技術(shù)考核試卷
- 歷史與文化傳承考核試卷
- 2025年注會考試溫習(xí)策略與試題及答案
- 2024年項目管理新理念的研究與探討試題及答案
- 投資行業(yè)薪酬方案范本
- 2024年項目管理專業(yè)人士資格考試亮點試題及答案
- 2024年行政管理師備考資源整合試題及答案
- 2023安徽廬江縣川東燃?xì)庥邢薰厩锛拘@招聘2人筆試參考題庫附帶答案詳解
- 項目調(diào)整與適應(yīng)能力考核試題及答案
- 化妝品生產(chǎn)防滲漏質(zhì)量控制措施
- 政務(wù)服務(wù)知識培訓(xùn)課件
- 政府績效評估 課件 蔡立輝 第6-10章 政府績效評估的結(jié)果應(yīng)用與改進(jìn) -政府績效評估在當(dāng)代中國的推進(jìn)
- 2025年職教高考對口升學(xué) 護(hù)理類 專業(yè)綜合模擬卷(3)(原卷版)(四川適用)
- 煙霧病教學(xué)查房
- 延長石油集團(tuán)招聘筆試
- 風(fēng)機(jī)及塔筒吊裝工程吊裝方案
- 交流電機(jī)控制原理及控制系統(tǒng) 習(xí)題及答案 第2-12章 交流電機(jī)調(diào)速系統(tǒng)功率電子電路- 全數(shù)字交流電機(jī)調(diào)速系統(tǒng)設(shè)計
- 【MOOC】電子線路設(shè)計、測試與實驗(二)-華中科技大學(xué) 中國大學(xué)慕課MOOC答案
- 2024年人大題庫考試中國特色社會主義理論題庫答案
- 給青年的十二封信讀書分享
評論
0/150
提交評論