PLD應(yīng)用技術(shù)專題_第1頁(yè)
PLD應(yīng)用技術(shù)專題_第2頁(yè)
PLD應(yīng)用技術(shù)專題_第3頁(yè)
PLD應(yīng)用技術(shù)專題_第4頁(yè)
PLD應(yīng)用技術(shù)專題_第5頁(yè)
已閱讀5頁(yè),還剩16頁(yè)未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、-20-寧波技師學(xué)院-PLD應(yīng)用技術(shù)專題電氣技師新技術(shù)應(yīng)用專題課 程 設(shè) 計(jì) 報(bào) 告論文課題 CPLD萬(wàn)年歷 專業(yè)班級(jí) 09電氣技師1班 學(xué)生姓名 陸佳衛(wèi) 指導(dǎo)教師 陳建羊、劉福祥寧波技師學(xué)院電氣技術(shù)系2013年 11月摘 要CPLD全稱為“復(fù)雜的可編程邏輯器件”在數(shù)字電子、DSP和通信等鄰域中廣泛應(yīng)用。隨著基于CPLD的EDA技術(shù)的發(fā)展和應(yīng)用領(lǐng)域的擴(kuò)大與深入,EDA技術(shù)在電子信息、通信、自動(dòng)控制、計(jì)算機(jī)等領(lǐng)域的重要性日益突出。本課題最終要設(shè)計(jì)一個(gè)萬(wàn)年歷,要求能顯示年月日、時(shí)分秒,可以手動(dòng)調(diào)整年月日、時(shí)分秒。此次編程和調(diào)試軟件是用“Quartus II 6.0”來(lái)完成的,利用該軟件編制一8個(gè)數(shù)

2、碼管動(dòng)態(tài)掃描電路,然后再其所對(duì)應(yīng)的管腳處接相應(yīng)的電器原件,最終完成的電路即為該電路。最終要把實(shí)物做出來(lái)并能夠按照要求正常顯示與報(bào)警。此課題所學(xué)知識(shí)與單片機(jī)又有所區(qū)別,在以往的教學(xué)中也是第一次接觸,所以對(duì)于學(xué)生的知識(shí)是有很大的開(kāi)拓。 目錄1.引言42.電路模塊52.1 5分頻模塊52.2 10分頻模塊62.3 100分頻82.4 按鍵消抖動(dòng)模塊102.5 選擇加(選擇日歷或時(shí)間加)模塊112.6 或門(mén)模塊132.7 60進(jìn)制模塊132.8 24進(jìn)制模塊162.9 天數(shù)模塊182.10 月模塊202.11 年模塊232.12 掃描模塊262.13 7段數(shù)碼管驅(qū)動(dòng)模塊312.14 T觸發(fā)器模塊323

3、.電路圖343.1 Quartus II 6.0原理圖343.2 CLIENT99SE原理圖353.3 PCB圖363.4 電路實(shí)物圖374.總結(jié)39附錄:元器件清單401.引言我們已經(jīng)進(jìn)入了數(shù)字化和信息化的時(shí)代,其特點(diǎn)是各種數(shù)字產(chǎn)品的廣泛應(yīng)用。現(xiàn)代數(shù)字產(chǎn)品在性能提高、復(fù)雜度增大的同時(shí),其更新?lián)Q代的步伐也越來(lái)越快,實(shí)現(xiàn)這種進(jìn)步的因素在于生產(chǎn)制造技術(shù)和電子設(shè)計(jì)技術(shù)的進(jìn)步。 PLD器件和EDA技術(shù)的出現(xiàn),改變了這種傳統(tǒng)的設(shè)計(jì)思路,使人們可以立足于PLD芯片來(lái)實(shí)現(xiàn)各種不同的功能,新的設(shè)計(jì)方法能夠由設(shè)計(jì)者自己定義器件內(nèi)部邏輯和管腳,將原來(lái)由電路板設(shè)計(jì)完成的工作大部分放在芯片的設(shè)計(jì)中進(jìn)行。這樣

4、不僅可以通過(guò)芯片設(shè)計(jì)實(shí)現(xiàn)各種邏輯功能,而且由于管腳定義的靈活性,減輕了原理圖和印制板設(shè)計(jì)的工作量和難度,增加了設(shè)計(jì)的自由度,提高了效率。同時(shí)這種設(shè)計(jì)減少了所需芯片的種類(lèi)和數(shù)量,縮小了體積,降低了功耗,提高了系統(tǒng)的可靠性。 我們通過(guò)幾個(gè)星期的實(shí)訓(xùn),對(duì)芯片EPM7128的引腳分布以及 引腳功能、芯片的應(yīng)用、工作原理、典型電路有一定的了解;實(shí)習(xí)使我們掌握基本電路的設(shè)計(jì)與制作方法和技巧,能夠獨(dú)立的分析解決一般性質(zhì)的問(wèn)題,在設(shè)計(jì)與制作過(guò)程中能夠從經(jīng)濟(jì)性和環(huán)保性以及成品質(zhì)量等方面去考慮,在設(shè)計(jì)與制作中能大膽的實(shí)踐,開(kāi)拓創(chuàng)新,能夠?qū)⒆约旱南敕w現(xiàn)到實(shí)際電路當(dāng)中去;又培養(yǎng)了我與其他同學(xué)的團(tuán)

5、隊(duì)合作、共同探討、共同前進(jìn)的精神。2.電路模塊 2.1 5分頻模塊 2.2 10分頻模塊 2.3 100分頻library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned. all;use ieee.std_logic_arith. all; entity fenpin100 is port( clk: in std_logic; rst: in std_logic; co: out std_logic);end fenpin100;architecture behave of fenpin100 issignal te

6、m1:std_logic_vector(3 downto 0);signal tem2:std_logic_vector(3 downto 0);beginprocess(clk,rst)beginif(rst='0')then tem1<="0000" tem2<="0000"elsif clk'event and clk='1' then if tem1="1001" then tem1<="0000" if tem2="1001"t

7、hen tem2<="0000" co<='1'else tem2<=tem2+1; co<='0' end if ;else tem1<=tem1+1; end if; end if; end process; end behave;2.4 按鍵消抖動(dòng)模塊2.5 選擇加(選擇日歷或時(shí)間加)模塊2.6 或門(mén)模塊 2.7 60進(jìn)制模塊library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_

8、arith.all;entity fen60 is port( clk :in std_logic; rst :in std_logic; qout1 :out std_logic_vector(3 downto 0); qout2 :out std_logic_vector(3 downto 0); co : out std_logic);end fen60;architecture behave of fen60 issignal tem1:std_logic_vector(3 downto 0);signal tem2:std_logic_vector(3 downto 0);begin

9、 process(clk,rst) begin if(rst='1')then tem1<="0000" tem2<="0000" elsif clk'event and clk='1'then if tem1="1001"then tem1<="0000" if tem2="0101"then tem2<="0000" co<='1' else tem2<=tem2+1; co<

10、;='0' end if; else tem1<=tem1+1; co<='0' end if; end if; qout1<=tem1; qout2<=tem2; end process;end behave; 2.8 24進(jìn)制模塊 2.9 天數(shù)模塊2.10 月模塊LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY yue ISport(clk :IN STD_LOGIC; run :IN STD_LOGIC; cout :OUT

11、 STD_LOGIC; pan :OUT STD_LOGIC_VECTOR(1 DOWNTO 0); cq1,cq2 :OUT STD_LOGIC_VECTOR(3 DOWNTO 0); END ;ARCHITECTURE behav OF yue ISsignal cq3: STD_LOGIC_VECTOR (3 DOWNTO 0):="0001"signal cq4: STD_LOGIC_VECTOR (3 DOWNTO 0):="0001"signal cq5: STD_LOGIC_VECTOR (7 DOWNTO 0);BEGINPROCESS(

12、clk)BEGINIF clk'EVENT and clk='1' THEN cq3<=cq3+1;IF cq3=9 THEN cq4<=cq4+1;cq3<="0000" END IF;IF cq3=2 and cq4=1 THEN cq3<="0001"cq4<="0000"cout<='1'ELSE cout<='0'END IF;END IF;cq5<=cq4&cq3;CASE cq5 ISWHEN "00

13、000001"=>pan<="00" -一月WHEN "00000010"=>if run='1' then pan<="11"else pan<="10"end if; -二月WHEN "00000011"=>pan<="00" -三月WHEN "00000100"=>pan<="01" -四月WHEN "00000101"=>p

14、an<="00" -五月WHEN "00000110"=>pan<="01" -六月WHEN "00000111"=>pan<="00" -七月WHEN "00001000"=>pan<="00" -八月WHEN "00001001"=>pan<="01" -九月WHEN "00010000"=>pan<="00"

15、; -十月WHEN "00010001"=>pan<="01" -十一月WHEN "00010010"=>pan<="00" -十二月WHEN others=>NULL;END CASE; cq1<=cq3; cq2<=cq4;END PROCESS;END;2.11 年模塊2.12 掃描模塊2.13 7段數(shù)碼管驅(qū)動(dòng)模塊2.14 T觸發(fā)器模塊3.電路圖3.1 Quartus II 6.0原理圖3.2 CLIENT99SE原理圖3.3 PCB圖3.4 電路實(shí)物圖4.總結(jié)通過(guò)這2個(gè)星期的實(shí)習(xí),我感觸很多,最重要的一點(diǎn)是我對(duì)CPLD有了深入一層的了解。我學(xué)會(huì)了在Quartus II 6.0中用VHDL語(yǔ)言編寫(xiě)自己所需要用的模塊,也可以在Quartus II 6.0畫(huà)出電路。我們這次設(shè)計(jì)的課題是萬(wàn)年歷,其中最核心的步驟是程序的設(shè)計(jì)、仿

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論