EDA課程設(shè)計報告——孟慶康_第1頁
EDA課程設(shè)計報告——孟慶康_第2頁
EDA課程設(shè)計報告——孟慶康_第3頁
EDA課程設(shè)計報告——孟慶康_第4頁
EDA課程設(shè)計報告——孟慶康_第5頁
已閱讀5頁,還剩52頁未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報或認(rèn)領(lǐng)

文檔簡介

1、石家莊經(jīng)濟(jì)學(xué)院信息工程學(xué)院電子信息工程專業(yè)EDA技術(shù)課程設(shè)計報告題目: LED點(diǎn)陣漢字顯示 姓 名 孟慶康 學(xué) 號 4 班 級 電子一班 指導(dǎo)教師 馬艷玲 2012年 1 月 12 日要求:1指導(dǎo)教師按照課程設(shè)計大綱要求完成學(xué)生課程設(shè)計指導(dǎo)工作。2課程設(shè)計任務(wù)書由指導(dǎo)教師照大綱要求填寫,內(nèi)容要全面。3課程設(shè)計報告由參加本學(xué)生填寫。課程設(shè)計結(jié)束時交指導(dǎo)教師。4指導(dǎo)教師要根據(jù)每一位學(xué)生課程設(shè)計任務(wù)完成情況,認(rèn)真審核設(shè)計報告,并在課程設(shè)計結(jié)束時,給出客觀、準(zhǔn)確的評語和成績。5課程設(shè)計任務(wù)書和報告要語言流暢,圖表正確規(guī)范。課程設(shè)計任務(wù)書班級 電子一班 姓名 孟慶康 學(xué)號 4 課程設(shè)計題目 LED點(diǎn)陣漢

2、字顯示 課程設(shè)計起止日期 2011.12.26 至 2012.01.12 實(shí)習(xí)地點(diǎn) 石家莊經(jīng)濟(jì)學(xué)院實(shí)驗(yàn)樓308 課程設(shè)計內(nèi)容與要求 1.及格:在實(shí)驗(yàn)箱上16*16點(diǎn)陣模塊上顯示漢字“電”;2.中 :設(shè)置不同的清屏方式(上下左右);3.良 :滾動顯示漢字“電”;4.優(yōu) :滾動顯示“電子信息工程。” 指導(dǎo)教師 馬艷玲 2011 年 12 月26 日一、設(shè)計原理與技術(shù)方法:包括:電路工作原理分析與原理圖、元器件選擇與參數(shù)計算、電路調(diào)試方法與結(jié)果說明;軟件設(shè)計說明書與流程圖、軟件源程序代碼、軟件調(diào)試方法與運(yùn)行結(jié)果說明。1、 軟件設(shè)計說明:(1)在實(shí)驗(yàn)箱上16*16點(diǎn)陣模塊上顯示漢字“電” LED點(diǎn)陣漢

3、字顯示實(shí)驗(yàn)采用16*16的點(diǎn)陣,列選信為SEL0,SEL1,SEL2,SEL3,經(jīng)4線16線譯碼器輸出16列,最左邊為第一列,列選信號是由一個4位向量SEL3.0控制;行選信號為line0line15,是由16個行信號組成的,每一行由一個單獨(dú)的位來控制,高電平有效。實(shí)驗(yàn)中用逐列掃描的方法,將每列中不同的行用“0”或“1”寫出,將列用時鐘信號控制,當(dāng)頻率到達(dá)一定值時肉眼無法分辨,每列的值看上去幾乎同時顯示,靜止的“電”字就顯示在16*16點(diǎn)陣上。(2) 設(shè)置四種不同的清屏方式“電”字的四種清屏方式原理基本一樣,就是在不同時刻將對應(yīng)的不同邏輯值送給對應(yīng)的行和列。 左清屏就是由一個低頻時鐘控制,由左

4、到右逐列清屏,clk1為上升延時,counter自動+1,電字的形狀變一次,然后將此時電字的邏輯值送給對應(yīng)的點(diǎn)陣位置。例如,clk第一次到上升延時就將缺少最左邊一列的“電”字對應(yīng)的邏輯值送給對應(yīng)的點(diǎn)陣位置。依此類推,15次上升沿后“電”字就會清完。 右清屏原理同左清屏,由一個低頻時鐘控制,然后由右到左逐列清屏,clk1為上升延時,counter自動+1,“電”字逐列消失,直到15次上升沿,“電”字清完。 上、下清屏也是由一個低頻時鐘控制,在每次上升延時,“電”字消失一行,然后將此時“電”字對應(yīng)的邏輯值送入對應(yīng)的位置,16個上升沿過后,“電”字清完。由時鐘控制循環(huán)完成清屏。(3) 滾動顯示漢子“

5、電” 首先寫出“電”字各行各列對應(yīng)的邏輯值,然后由時鐘控制以1列為單位將各列的值循環(huán)左移 ,每移動一列,就將此時的邏輯值送給對應(yīng)的點(diǎn)陣坐標(biāo),時鐘clk1每達(dá)到一次上升沿,counter自動+1,然后“電”字循環(huán)左移一次,將此時對應(yīng)的邏輯值,送給對應(yīng)的位置,隨著counter的變化,“電”字逐列完成循環(huán)左移,也就是左滾動。滾動 (4) 滾動顯示“電子信息工程” 滾動顯示“電子信息工程”原理和“電”字左滾動基本相同,即在16*16點(diǎn)陣上寫出其對應(yīng)的邏輯值,用counter來作為不同時刻不同狀態(tài)的切換信號,時鐘信號clk1每次到達(dá)上升沿counter自動+1,然后“電子信息工程”左移六禮列,因?yàn)椤半?/p>

6、子信息工程”為16*6=96列,所以時鐘信號經(jīng)過16個上升沿,即counter由0000到1111后,“電子信息工程”完成左移一次,然后由clk1控制循環(huán)滾動“電子信息工程”。2、 軟件程序代碼(1)“電”單獨(dú)顯示library ieee; -調(diào)用庫use ieee.std_logic_1164.all; -標(biāo)準(zhǔn)庫use ieee.std_logic_unsigned.all; -無標(biāo)志庫entity dian is -定義實(shí)體port(clk0,clr:in std_logic; -時鐘和清屏 sel:out std_logic_vector(3 downto 0); -列掃描 line:o

7、ut std_logic_vector(15 downto 0); -行end dian; -實(shí)體結(jié)束architecture rtl of dian is -定義結(jié)構(gòu)體signal q: std_logic_vector(3 downto 0); signal counter:std_logic_vector(3 downto 0); -列掃描計數(shù)器begin sel=q;p1:process(clk0,clr) begin - 進(jìn)程開始if(clr=1)then -clr=1時,清屏 counter1111)then -十六進(jìn)制,counter加到15后清零 counter=0000; e

8、lse counterline=x0000;qline=x03f8;qline=x0248;qline=x0248;qline=x0248;qline=x0248;qline=x7fff;qline=x4248;qline=x4248;qline=x4248;qline=x4248;qline=x43f8;qline=x4000;qline=x4000;qline=x7000;qline=x0000;qnull;end case;end process p2;end rtl;(2) “電”清屏library ieee; -調(diào)用庫use ieee.std_logic_1164.all; -標(biāo)準(zhǔn)庫

9、use ieee.std_logic_unsigned.all; -無標(biāo)志庫entity qp is -定義實(shí)體port(enable:in std_logic_vector(1 downto 0); -四選一電路控制端clk0,clk1,clr:in std_logic; -時鐘信號 sel:out std_logic_vector(3 downto 0); -列掃描 line:out std_logic_vector(15 downto 0); -行end qp; -實(shí)體結(jié)束architecture rtl of qp is -定義結(jié)構(gòu)體signal q: std_logic_vector

10、(3 downto 0); -列掃描signal counter:std_logic_vector(3 downto 0); -列掃描計數(shù)器begin sel=q; -q值給selp0:process(clk0,clr) begin -進(jìn)程開始if(clr=1)then -clr=1時,清屏 q1111)then -十六進(jìn)制,加到15后清零 q=0000;else q 的代碼送入相應(yīng)位置 case q is when 0000=linelinelinelinelinelinelinelinelinelinelinelinelinelinelinelinenull; end case; when

11、 0001= case q is when 0000=linelinelinelinelinelinelinelinelinelinelinelinelinelinelinelinenull; end case; when 0010= case q is when 0000=linelinelinelinelinelinelinelinelinelinelinelinelinelinelinelinenull; end case; when 0011= case q is when 0000=linelinelinelinelinelinelinelinelinelinelinelinelin

12、elinelinelinenull; end case; when 0100= case q is when 0000=linelinelinelinelinelinelinelinelinelinelinelinelinelinelinelinenull; end case; when 0101= case q is when 0000=linelinelinelinelinelinelinelinelinelinelinelinelinelinelinelinenull; end case; when 0110= case q is when 0000=linelinelinelineli

13、nelinelinelinelinelinelinelinelinelinelinelinenull; end case; when 0111= case q is when 0000=linelinelinelinelinelinelinelinelinelinelinelinelinelinelinelinenull; end case; when 1000= case q is when 0000=linelinelinelinelinelinelinelinelinelinelinelinelinelinelinelinenull; end case; when 1001= case

14、q is when 0000=linelinelinelinelinelinelinelinelinelinelinelinelinelinelinelinenull; end case; when 1010= case q is when 0000=linelinelinelinelinelinelinelinelinelinelinelinelinelinelinelinenull; end case; when 1011= case q is when 0000=linelinelinelinelinelinelinelinelinelinelinelinelinelinelinelin

15、enull; end case; when 1100= case q is when 0000=linelinelinelinelinelinelinelinelinelinelinelinelinelinelinelinenull; end case; when 1101= case q is when 0000=linelinelinelinelinelinelinelinelinelinelinelinelinelinelinelinenull; end case; when 1110= case q is when 0000=linelinelinelinelinelinelineli

16、nelinelinelinelinelinelinelinelinenull; end case; when others=null; end case; elsif enable=01then - enable=“01”時,“電”開始右清屏case counter is when 0000= case q is when 0000=linelinelinelinelinelinelinelinelinelinelinelinelinelinelinelinenull; end case; when 0001= case q is when 0000=linelinelinelinelinelinelinelinelinelinelinelinelinelinelinelinenull; end case; when 0010= -“電”前14列 case q is when 0000=linelinelinelinelinelinelinelinelinelinelinelinelinelinelinelinenull; end case; when 0011= -“電”前13列 case q is when 0000=lineli

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

最新文檔